TSMC technology symposium

Het bedrijf zal voor chipproductie op A16 nog niet overstappen op ASML's volgende generatie high-NA-machines. Kevin Zhang, senior vicepresident of business development bij TSMC, bevestigt dat tegenover onder andere Tweakers. "We maken voor A16 gebruik van nanosheets, net als op 2nm. Onze bestaande euv-tools zouden dat moeten ondersteunen. Wanneer high-NA een rol gaat spelen, hangt af van waar de optimale balans tussen techniek en economie zit", vertelt Zhang, doelend op de kosten die met high-NA gepaard gaan.

Na A16 staat A14, oftewel 1,4nm, op de roadmap, zo bevestigt Kevin Zhang tegenover journalisten. TSMC deelt daar echter nog geen concrete details over. Het is niet bekend of deze node wél gebruik gaat maken van high-NA-euv. Ook is onduidelijk wanneer de A14-massaproductie begint. Aangezien A16 in de tweede helft van 2026 wordt geïntroduceerd, zal dat vermoedelijk ergens in 2027 of 2028 gebeuren.

Meer:
tweakers.net/reviews/12082/tsmc-techn...